<html><head></head><body style="word-wrap: break-word; -webkit-nbsp-mode: space; -webkit-line-break: after-white-space; ">Bom dia,<div><br></div><div>segue a dica</div><div><br></div><div>sox archivo_original.wav -r 8000 -c1 archivo_final.gsm</div><div><br></div><div>Att</div><div><br></div><div>Eduardo Souza</div><div><br></div><div><br><div><div>Em 21/02/2013, às 10:27, Bernini Asterisk escreveu:</div><br class="Apple-interchange-newline"><blockquote type="cite"><table cellspacing="0" cellpadding="0" border="0"><tbody><tr><td valign="top" style="font: inherit;">Bom dia Galera<br><br>alguem tem alguma dica para converter audio wm wav para mp3 ?<br><br>ou até msm gsm , ulaw&nbsp; , para mp3 ?<br><br>procurei alguns utilitários como sox e mlame porém ainda não obtive sucesso<br><br><br>muito obrigado<br><br>bom dia a todos<br><br></td></tr></tbody></table>_______________________________________________<br>EBS MODULAR: 3 slots para combinação entre E1, GSM, FXS ou FXO;<br>Linha de PORTEIROS IP, abrem até 2 dispositivos com acesso IP remoto;<br>Conheça esses e outros LANÇAMENTOS KHOMP em <a href="http://www.Khomp.com">www.Khomp.com</a>&nbsp;<br>_______________________________________________<br>DIGIVOICE &nbsp;Fabricante de Placas de Voz e Channel Bank<br>20 anos de experiência com E1(R2/ISDN), FXS, FXO e GSM<br>Centro Treinamento - Curso de PABX IP - &nbsp;Asterisk &nbsp;- Site &nbsp;<a href="http://www.digivoice.com.br">www.digivoice.com.br</a><br>_______________________________________________<br>ALIGERA – Fabricante nacional de Gateways SIP-E1 para R2, ISDN e SS7.<br>Placas de 1E1, 2E1, 4E1 e 8E1 para PCI ou PCI Express.<br>Channel Bank – Appliance Asterisk - Acesse <a href="http://www.aligera.com.br">www.aligera.com.br</a>.<br>_______________________________________________<br>Para remover seu email desta lista, basta enviar um email em branco para <a href="mailto:asteriskbrasil-unsubscribe@listas.asteriskbrasil.org">asteriskbrasil-unsubscribe@listas.asteriskbrasil.org</a></blockquote></div><br></div></body></html>